Repositorio UTM
Por favor, use este identificador para citar o enlazar este ítem: http://repositorio.utm.mx:8080/jspui/handle/123456789/117
Título : Diseño e implementación de un control gpi en un fpga para un convertidor cd-cd tipo reductor
Autor : JESUS LINARES FLORES;202201
Linares Flores, Jesús
Zurita Bustamante, Eric William
Palabras clave : convertidor reductor eléctrico, energía eléctrica, conversión, electrónica de potencia
Fecha de publicación : sep-2011
Editorial : Universidad Tecnológica de la Mixteca
Citación : Zurita, W. (2011). Diseño e implementación de un control gpi en un fpga para un convertidor cd-cd tipo reductor (Tesis para obtener el grado de Maestro en Electrónica y Computación). Universidad Tecnológica de la Mixteca, Huajuapan de León, Oaxaca.
Resumen : En este trabajo se presenta el diseño e implementación en una tarjeta NEXYS2 Spartan-3E FPGA Board, que incluye un FPGA Spartan-3E1200 de la compañía Xilinx, del controlador Proporcional Integral Generalizado mejor conocido en la literatura como GPI, para la regulación del voltaje de salida del convertidor CD CD tipo reductor. Las características del desempeño que exhibe el controlador GPI en lazo cerrado son: rápida respuesta estática y dinámica ante una excitación constante, sobretiro porcentual menor al 2 %, tiempo de establecimiento corto, rápida recuperación de la señal de referencia ante perturbaciones del tipo constante y rampa desconocidas. Además, de que evita el uso de sensores en la medición de todos los estados del sistema, ya que a través de reconstructores integrales que miden la entrada y la salida se consigue estimar los estados que necesita el controlador para operar. Esta técnica de control se basa en la propiedad de controlabilidad de sistema, la cual implica que el sistema tiene la propiedad de ser diferencialmente plano y mediante la cual el controlador GPI reconstruye los estados no medidos, y demuestra la estabilidad del error del controlador en lazo cerrado. Dentro del mismo FPGA se describió e implementó un modulador de anchos de pulsos (PWM), esto para activar y desactivar el semiconductor del convertidor CD CD. Para la implementación del controlador propuesto en el FPGA se diseñan y describen los módulos que lo integran bajo el formato de punto otante en precisión simple del estándarIEEE-754 mediante el lenguaje descriptor de hardware VHDL, siguiendo una metodología descendente (Top-Down). Debido a que el FPGA utilizado en este trabajo no cuenta con un convertidor analógico digital, esto para convertir la señal del voltaje de salida del convertidor reductor a una señal digital, fue necesario utilizar un convertidor ADC0820 externo cuyo tiempo de conversión no afectó de manera signi cativa el desempeño del controlador en lazo cerrado. Finalmente, en este trabajo se comparó el desempeño del controlador GPI contra un controlador PID clásico para la regulación del voltaje de salida del convertidor reductor. Resultó que el controlador GPI presenta una mejor respuesta estática y dinámica ante una señal de referencia constante, y una más rápida recuperación de la señal de referencia ante una perturbación súbita estática y dinámica de carga que el controlador PID clásico.
URI : http://repositorio.utm.mx:8080/jspui/handle/123456789/117
Aparece en las colecciones: Maestría

Ficheros en este ítem:
Fichero Descripción Tamaño Formato  
2011-MEC-EWZB.pdf2.91 MBAdobe PDFVisualizar
facebook


Este ítem está sujeto a una licencia Creative Commons Licencia Creative Commons Creative Commons